Основы ЦОС и их реализация на ПЛИС
Язык преподавания
Русский
Семестр
весенний
Трудоемкость
3.00 з.е.
Итоговый контроль
диф.зачет

Дисциплина покрывает темы реализации алгоритмов цифровой обработки сигналов на ПЛИС: возможности ПЛИС для решения задач ЦОС, способы реализации алгоритмов, в частности цифровых фильтров, генераторов гармонических и шумоподобных сигналов. Благодаря наличию широкой технической базы дисциплина реализуется с набором практических работ, благодаря которым студенты закрепляют свои знания и получают необходимые умения и навыки при имплементации алгоритмов ЦОС на ПЛИС.